Google_links

понедельник, 23 марта 2015 г.

Wooden Heatsink "Деревянный радиатор", точней модель для изготовления пр...

Wooden Heatsink model for making molds

"Деревянный радиатор", точней модель для изготовления пресс формы, для литья под высоким давлением



воскресенье, 22 марта 2015 г.

Allegro OrCAD 16.6 - Полное Дерьмо! Тупорылое

Нарисовал десяток плат на OrCAD 16.6, сразу скажу что мне нравиться в пакете OrCAD так это Capture (Схематик) очень удобный, он в принципе не изменился в OrCAD 9.1 версии на это все и заканчивается. А вот PCB Designer делали наверно инопланетяны, все неудобно, интуитивно непонятно, что бы нарисовать одну линию - это просто жесть! Думал ну ладно может привыкну, но увы за год вызывает все большее отвращение. Что больше всего огорчило, так то что нужно в Capture в свойствах каждого!!!! Компонента прописывать название футпринта ручками, я считаю это глупо, можно было сделать кнопочку, открывается менеджер в котором видно предварительный просмотр компонента как это было 20 лет назад в старом OrCAD, что еще больше огорчило в библиотеке Оркад в папочке Pcb_lib - футпринтов всего ничего штук 100, при том что программка весит 5 Гигов

   

пятница, 13 марта 2015 г.

Nixie Tube ZM1042 Valvo for NIXIE CLOCK NOS

Review Test Nixie Tube USSR IN18 and Tesla ZM1042
  • brightness test
  • contrast test
  • lamp test
  • сomparison of IN-18 tubes and lamps ZM1042

Nixie Tube Tesla ZM1042

Nixie Tube USSR IN18

Conclusion nixie tube IN-18 larger in numbers, more bright, more the contrast and the biggest you can buy for less http://www.ebay.com/itm/251859899999?_trksid=p2055119.m1438.l2649&ssPageName=STRK%3AMEBIDX%3AIT


Tags: Nixie Tube, Clock, Nixie Tube KIT, Nixie Clock KIT, NIXIE CLOCK, Do It Yourself (Hobby), KIT, Arduino (Brand), STM32Discovery, STM32,Raspberry Pi (Computer), Vacuum Tube (Invention), Digit Tubes KIT, LED, Tube, RUSSIAN NIXIE TUBE, Vintage, NOS, NUMERIC, USSR,TESTED, IN-1, IN-2, IN-3, IN-4, IN-5, IN-6, IN-7, IN-8, IN-9, IN-10, IN-11, IN-12, IN-13, IN-14, IN-15, IN-16, IN-17, IN-18, IN-19, IN-20, IN-21, IN-22, IN-23, ИН-1, ИН-2, ИН-3, ИН-4, ИН-5, ИН-6, ИН-7, ИН-8, ИН-9, ИН-10, ИН-11, ИН-12, ИН-13, ИН-14, ИН-15, ИН-16, ИН-17, ИН-18, ИН-19, ИН-20, ИН-21, ИН-22, ИН-23, ZM1022, ZM1040, ZM1041, ZM1042, ZM1080, ZM5660m, ZM566m, ZM5900M, Z568M, ZM4TM, Z573M, Z570M, ZM522, ZM5220, Socket B13B, Socket, NL5870S, IV-15, IN-19B, IV11, IV17, IV-26, NL-840, NL902, NL8027

четверг, 5 марта 2015 г.

DDS (Direct digital synthesizer) AD9912 Sine 1-400Mhz generator

Direct Digital Synthesizer (DDS) IC AD9912 Analog devices generates sine signal 1-400Mhz, with 4 μHz resolution, setting 48-bit frequency tuning word, register 0x01A6-0x01AB FWT.
Video DDS AD9912

Schematic DDS AD9912 

Example, Fs = 1 GHz, Fdds = 106.857 Mhz, 2^48 = 2.815E+14   FTW = 2.815E+14 * (106857*10^3 / 1*10^9)= 3.008E+13 converted into HEX 0x1B5AFAF85943

Example, Fs = 1 GHz, Fdds = 106.857 Mhz, 2^48 = 2.815E+14   FTW = 2.815E+14 * (106857*10^3 / 1*10^9)= 3.008E+13 converted into HEX 0x1B5AFAF85943
Example, Fs = 1 GHz, Fdds = 106.857 Mhz, 2^48 = 2.815E+14 

FTW = 2.815E+14 * (106857*10^3 / 1*10^9)= 3.008E+13 converted into HEX 0x1B5AFAF85943

Signal power up 0 dBm adjust the 10 bit register FSC (0x040B, 0x040C) DAC full-scale current up 31,7mA.
Example, Rdac_ref = 10 000 ohm, FSC = 1023 (range 0...1023)  Idac_ref = 1.2 / 10 000 = 0.00012 A, or 120 uA  Idac_fs = 0.00012 * (72 + (192 * 1023) / 1024) = 0.03166 A or 31.7 mA

Example, Rdac_ref = 10 000 ohm, FSC = 1023 (range 0...1023)  Idac_ref = 1.2 / 10 000 = 0.00012 A, or 120 uA  Idac_fs = 0.00012 * (72 + (192 * 1023) / 1024) = 0.03166 A or 31.7 mA
Example, Rdac_ref = 10 000 ohm, FSC = 1023 (range 0...1023)

Idac_ref = 1.2 / 10 000 = 0.00012 A, or 120 uA

Idac_fs = 0.00012 * (72 + (192 * 1023) / 1024) = 0.03166 A or 31.7 mA



Synchronization is possible from external or internal oscillator PLL. I used the internal PLL and is set to 1 GHz, with crystal 25Mhz. CLKMODESEL(Pin 32 AD9912) = 0(GND),  PD SYSCLK(Register 0x0010, Bit 4) = 0

#define Power_down_reset_Add    0x0010
#define Power_down_reset        0xC0       // Enable PLL
#define N_devider                     18         // Devider PLL
#define N_devider_Add            0x0020
#define PLL_parameters           0b00000100 
#define PLL_parameters_Add  0x0022

Synchronization is possible from external or internal oscillator PLL. I used the internal PLL and is set to 1 GHz, with crystal 25Mhz. CLKMODESEL(Pin 32 AD9912) = 0(GND),  PD SYSCLK(Register 0x0010, Bit 4) = 0  #define Power_down_reset_Add    0x0010 #define Power_down_reset        0xC0       // Enable PLL #define N_devider                     18         // Devider PLL #define N_devider_Add            0x0020 #define PLL_parameters           0b00000100  #define PLL_parameters_Add  0x0022


Initialization
/******************************************************************************
 Init AD9912
 ******************************************************************************/
void Init_DDS(void)
{
    SPI_24(WORD_DATA(Serial_config_Add, Serial_config));   // Main Config
    SPI_24(WORD_DATA(DDS_reset_Add, DDS_reset));           // Reset DDS
    SPI_24(WORD_DATA(Serial_options_Add, Register_update));//Reset DDS IO_UPDETE

    SPI_24(WORD_DATA(Power_down_reset_Add, Power_down_reset));
    SPI_24(WORD_DATA(N_devider_Add, N_devider));
    SPI_24(WORD_DATA(PLL_parameters_Add, PLL_parameters));

    //***Set output current *******************************
    DAC_Current = 1000; // Ток ЦАП AD9912 (0...1023) было 300
    DAC_Current_H = (DAC_Current&0xFF00)>>8;    // Hi byte
    DAC_Current_L =  DAC_Current&0x00FF;        // Lo byte
    SPI_24(WORD_DATA(0x040B, DAC_Current_L));   // Set DAC
    SPI_24(WORD_DATA(0x040C, DAC_Current_H));   // Set DAC
}


Code C, IDE MPLAB X 2.15, Compiler XC16 1.23, Author Grisha Anofriev e-mail: grisha.anofriev@gmail.com

#include <xc.h> // dsPIC33FJ64GP706 + Crystal 8 Mhz

#define FCY 20000000UL // for  __delay_ms() и __delay_us()
#include <libpic30.h> 

#include "ports.h"
#include "PPS.h"
#include <stdlib.h>
#include <stdint.h>
#include <limits.h>
#include <float.h>

_FGS(GWRP_OFF & GCP_OFF); 
_FOSCSEL(FNOSC_PRIPLL); 
_FOSC(FCKSM_CSECMD & OSCIOFNC_OFF & POSCMD_XT); 
_FWDT(FWDTEN_OFF);

/*Function Prototypes */
void IO_UPDATE(void);
void SPI_24(unsigned long BUFF_DDS);
unsigned long WORD_DATA (unsigned long Addres, unsigned long Data);
void DDS_FREQ_WRITE (unsigned long long BUFF_DDS_64);
unsigned char DDS_READ(unsigned int REG_ADDR);
void IO_UPDATE(void);
void Init_Ports(void);
void Init_DDS(void);

/*DDS AD9912*/
#define SCLK_DDS        LATBbits.LATB5
#define SCLK_TRIS_DDS   TRISBbits.TRISB5
#define SDIO_DDS        LATBbits.LATB4
#define SDIO_READ_DDS   PORTBbits.RB4
#define SDIO_TRIS_DDS   TRISBbits.TRISB4
#define CSB_DDS         LATBbits.LATB3
#define CSB_TRIS_DDS    TRISBbits.TRISB3

/*DDS AD9912 I/O REGISTER MAP and Mask*/
#define Serial_config_Add       0x0000     // 
#define Serial_config           0b10011001 //  0b10011001
#define Serial_options_Add      0x0005
#define Register_update         0x01
#define DDS_reset_Add           0x0012
#define DDS_reset               0x01
#define Power_down_reset_Add    0x0010
#define Power_down_reset        0xC0       // Enable PLL
#define N_devider               18         // Devider PLL
#define N_devider_Add           0x0020
#define PLL_parameters          0b00000100 //  PLL, 
#define PLL_parameters_Add      0x0022

#define Spur_A_Harmonic_Add     0x0500     // 
#define Spur_A_Magnitude_Add    0x0501
#define Spur_A_Phase1_Add       0x0503     // 1-8 bit
#define Spur_A_Phase2_Add       0x0504     // 9bit End

unsigned int BUFF_16; // 16bit buffer
unsigned int i; //

unsigned long count; 
unsigned char ShiftBit; 
unsigned long BUFF; /
unsigned long BUFF2; 

unsigned long FTW_SEND; 
unsigned char c; 
unsigned char PN;
unsigned long Temp_Integer;
unsigned long WORD_16bit_DATA_8bit; 
unsigned long long int ADDR_FTW = 0x1234567890302040;
unsigned long FTW;  
unsigned int  Control_DDS; 
unsigned int  Step_Freq;
unsigned int  Count_Freq;
unsigned int  NP_Freq;
unsigned int  DAC_Current; //  AD9912
unsigned char DAC_Current_H; //  AD9912
unsigned char DAC_Current_L; //  AD9912

/**************************************************************
(SPI) write word 24bit AD9912 for commands
**************************************************************/
void SPI_24(unsigned long BUFF_DDS)
{
 PORTBbits.RB3 = 0; // set CSB = 0
 for (ShiftBit = 1; ShiftBit <= 24; ++ShiftBit) 
      { 
        if((BUFF_DDS&0x800000)==0x800000)
{  
PORTBbits.RB4 = 1;  
        __delay_us(1); // delay 1 uS
PORTBbits.RB5 = 1;   // CLOCK
  __delay_us(1);
PORTBbits.RB5 = 0;   //  CLOCK
__delay_us(1); // delay 1 uS
//PORTCbits.RC1 = 0;   // set DATA = 0
  //Delay1TCY();
}
else   
{
PORTBbits.RB4 = 0;   // set DATA = 0
        __delay_us(1); // delay 1 uS
PORTBbits.RB5 = 1;   // CLOCK
  __delay_us(1);
PORTBbits.RB5 = 0;   // CLOCK
__delay_us(1); // delay 1 uS
PORTBbits.RB4 = 0;   // DATA = 0
  //Delay1TCY();
}
BUFF_DDS = BUFF_DDS<<1;                                                    
      }
PORTBbits.RB4 = 0;   //  DATA 
PORTBbits.RB3 = 1; // CSB = 1
__delay_us(1); // delay 1 uS
}

/**************************************************************
Command 16 bit + Data 8 bit
**************************************************************/
unsigned long WORD_DATA (unsigned long Addres, unsigned long Data)
    {
    WORD_16bit_DATA_8bit = (Addres<<8)|Data;
    return WORD_16bit_DATA_8bit; //  WORD_16bit_DATA_8bit в WORD_DATA
    }

/**************************************************************
   * (SPI) Write Frequncy AD9912
   * Write - 0x000000000000 to 0x666666666666 equ 0...400MHz
**************************************************************/
void DDS_FREQ_WRITE (unsigned long long BUFF_DDS_64)
{
    ADDR_FTW = 0x01AB|0x6000;     // addr freq 40-47 bit + command W0 и W1 = 11
    BUFF_DDS_64=(ADDR_FTW<<48)|BUFF_DDS_64;     // add+command+freq_data
    CSB_DDS = 0;                 // Start transmission CS = 0
    for (ShiftBit = 1; ShiftBit <= 64; ++ShiftBit)
       {                               
        if((BUFF_DDS_64&0x8000000000000000)==0x8000000000000000)
           {
            SDIO_DDS = 1;   // set DATA I/O
            Nop();
            SCLK_DDS = 1;   // set SCLK I/O
   Nop();
            SCLK_DDS = 0;   // reset SCLK I/O
  }
       else                          
  {                      
            SDIO_DDS = 0;   // set DATA I/O
            Nop();
            SCLK_DDS = 1;   // set SCLK I/O
            Nop();
   SCLK_DDS = 0;   // reset SCLK I/O
  }
       BUFF_DDS_64 = BUFF_DDS_64<<1; // shift reg                                                    
      }
    SDIO_DDS = 0;            // reset data  I/O pin
    CSB_DDS = 1;             // End of transmission CSB = 1    
    IO_UPDATE();    
}
//-----------END----------------------------------------------------------------------------------------------------------------


/**************************************************************
(SPI) Read Data from AD9912
 * Read and Return 1 byte
 * REG_ADDR - Address Register AD9912
**************************************************************/
unsigned char DDS_READ(unsigned int REG_ADDR)
{   
   BUFF_16 = 0;
   BUFF_16 = 0x8000 | REG_ADDR;    //  Add mask: R/W = 1, W0 = 0, W1 = 0
   CSB_DDS = 0;                 // Start transmission CS = 0
   for (ShiftBit = 1; ShiftBit <= 16; ++ShiftBit)
      { 
       if((BUFF_16 & 0x8000) == 0x8000) // if bit = 1
         {
 SDIO_DDS = 1;   // set DATA I/O
          Nop();
          SCLK_DDS = 1;   // set SCLK I/O
 Nop();
          Nop();
          Nop();
          SCLK_DDS = 0;   // reset SCLK I/O
}
       else                     // else bit = 0
{                      
 SDIO_DDS = 0;   // set DATA I/O
         Nop();
 SCLK_DDS = 1;   // set SCLK I/O
 Nop();
          Nop();
          Nop();
 SCLK_DDS = 0;   // reset SCLK I/O
}
       BUFF_16 = BUFF_16<<1;          // Shift reg
      }

   SDIO_DDS = 0;            // сбросить 0 на входе DATA
   SDIO_TRIS_DDS = 1;       // Reads the data value on the I/O pin
   BUFF_16 = 0;             // Clear buffer
   for (ShiftBit = 0; ShiftBit < 8; ++ShiftBit)
       {
        SCLK_DDS = 0;       // reset SCLK = 0
        Nop();
        Nop();        
        SCLK_DDS = 1;       // set SCLK = 1
        Nop();        
        Nop();       
        SCLK_DDS = 0;       // reset SCLK = 0
        BUFF_16 = (BUFF_16 | SDIO_READ_DDS)<<1;
       }
   BUFF_16 = BUFF_16>>1;
   CSB_DDS = 1;             // End of transmission CSB = 1
   SDIO_TRIS_DDS = 0;       // Output the data value on the I/O pin
   IO_UPDATE();    
    
   return BUFF_16;             // save and exit
}
//----------------------------------------------------------------------------

/******************************************************************************
    I/O Register Map section
 ******************************************************************************/
void IO_UPDATE(void)
{
    Nop();
    PORTBbits.RB2  = 1;                 //  IO_UPDETE
    Nop();
    PORTBbits.RB2  = 0;                 // IO_UPDETУ
    Nop();
}
//-------END--------------------------------------------------------------------

/******************************************************************************
 Init Ports
 ******************************************************************************/
void Init_Ports(void)
{
AD1PCFGL = 0xFFFF;
AD1PCFGH = 0xFFFE;
AD2PCFGL = 0xFFFF;
//***
INTCON1bits.NSTDIS = 0; 

TRISB = 0b1000000000000000;
PORTBbits.RB3 = 1; //  CSB = 1
Nop();
PORTBbits.RB2  = 0; //  IO_UPDETE
Nop();
PORTBbits.RB1  = 0; // RESET
Nop();
PORTBbits.RB0  = 0; // PWRDOWN
}

/******************************************************************************
    MAIN
 ******************************************************************************/
int main(void)
{
    /* Configure Oscillator to operate the device at 80MHz.
     * Fosc= Fin*M/(N1*N2), Fcy=Fosc/2
     * Fosc= 8MHz*40/(2*2)=80Mhz for 8MHz input clock */

    PLLFBD=38; // M = PLLDIV + 2
    CLKDIVbits.PLLPOST=1; // N1=2
    CLKDIVbits.PLLPRE=0; // N2=2

    OSCTUN=0;

    __builtin_write_OSCCONH(0x03); /* Initiate Clock Switch to Primary Oscillator with PLL (NOSC=0b011)*/
    __builtin_write_OSCCONL(0x01);
    while (OSCCONbits.COSC != 0b011); /* Wait for Clock switch to occur */
    while(!OSCCONbits.LOCK);
   
    Init_Ports(); // Init port and direction   
    Init_DDS();
        
    DDS_FREQ_WRITE(LookUpFTW[106-10]); // Value = Fout(Mhz) - 10
    
    while(1) 
            {
            }
}


/******************************************************************************
 Init AD9912
 ******************************************************************************/
void Init_DDS(void)
{
    SPI_24(WORD_DATA(Serial_config_Add, Serial_config));   // Main Config
    SPI_24(WORD_DATA(DDS_reset_Add, DDS_reset));           // Reset DDS
    SPI_24(WORD_DATA(Serial_options_Add, Register_update));//Reset DDS IO_UPDETE

    SPI_24(WORD_DATA(Power_down_reset_Add, Power_down_reset));
    SPI_24(WORD_DATA(N_devider_Add, N_devider));
    SPI_24(WORD_DATA(PLL_parameters_Add, PLL_parameters));

    //***Set output current *******************************
    DAC_Current = 1000; // Ток ЦАП AD9912 (0...1023) было 300
    DAC_Current_H = (DAC_Current&0xFF00)>>8;    // Hi byte
    DAC_Current_L =  DAC_Current&0x00FF;        // Lo byte
    SPI_24(WORD_DATA(0x040B, DAC_Current_L));   // Set DAC
    SPI_24(WORD_DATA(0x040C, DAC_Current_H));   // Set DAC
}


/**************************************************************
frequency constant 10-400 Mhz
**************************************************************/
const unsigned long long int LookUpFTW[] =
{
0x28f5c28f5c3,  // 10 Mhz 
0x2d0e5604189, // 11 Mhz
0x3126e978d50, // 12 Mhz
0x353f7ced917, // 13 Mhz
0x395810624dd, // 14 Mhz
0x3d70a3d70a4, // 15 Mhz
0x4189374bc6a, // 16 Mhz
0x45a1cac0831, // 17 Mhz
0x49ba5e353f8, // 18 Mhz
0x4dd2f1a9fbe, // 19 Mhz
0x51eb851eb85, // 20 Mhz
0x5604189374c, // 21 Mhz
0x5a1cac08312, // 22 Mhz
0x5e353f7ced9, // 23 Mhz
0x624dd2f1aa0, // 24 Mhz
0x66666666666, // 25 Mhz
0x6a7ef9db22d, // 26 Mhz
0x6e978d4fdf4, // 27 Mhz
0x72b020c49ba, // 28 Mhz
0x76c8b439581, // 29 Mhz
0x7ae147ae148, // 30 Mhz
0x7ef9db22d0e, // 31 Mhz
0x83126e978d5, // 32 Mhz
0x872b020c49c, // 33 Mhz
0x8b439581062, // 34 Mhz
0x8f5c28f5c29, // 35 Mhz
0x9374bc6a7f0, // 36 Mhz
0x978d4fdf3b6, // 37 Mhz
0x9ba5e353f7d, // 38 Mhz
0x9fbe76c8b44, // 39 Mhz
0x0a3d70a3d70a, // 40 Mhz
0x0a7ef9db22d1, // 41 Mhz
0x0ac083126e98, // 42 Mhz
0x0b020c49ba5e, // 43 Mhz
0x0b4395810625, // 44 Mhz
0x0b851eb851ec, // 45 Mhz
0x0bc6a7ef9db2, // 46 Mhz
0x0c083126e979, // 47 Mhz
0x0c49ba5e353f, // 48 Mhz
0x0c8b43958106, // 49 Mhz
0x0ccccccccccd, // 50 Mhz
0x0d0e56041893, // 51 Mhz
0x0d4fdf3b645a, // 52 Mhz
0x0d916872b021, // 53 Mhz
0x0dd2f1a9fbe7, // 54 Mhz
0x0e147ae147ae, // 55 Mhz
0x0e5604189375, // 56 Mhz
0x0e978d4fdf3b, // 57 Mhz
0x0ed916872b02, // 58 Mhz
0x0f1a9fbe76c9, // 59 Mhz
0x0f5c28f5c28f, // 60 Mhz
0x0f9db22d0e56, // 61 Mhz
0x0fdf3b645a1d, // 62 Mhz
0x1020c49ba5e3, // 63 Mhz
0x10624dd2f1aa, // 64 Mhz
0x10a3d70a3d71, // 65 Mhz
0x10e560418937, // 66 Mhz
0x1126e978d4fe, // 67 Mhz
0x116872b020c5, // 68 Mhz
0x11a9fbe76c8b, // 69 Mhz
0x11eb851eb852, // 70 Mhz
0x122d0e560419, // 71 Mhz
0x126e978d4fdf, // 72 Mhz
0x12b020c49ba6, // 73 Mhz
0x12f1a9fbe76d, // 74 Mhz
0x133333333333, // 75 Mhz
0x1374bc6a7efa, // 76 Mhz
0x13b645a1cac1, // 77 Mhz
0x13f7ced91687, // 78 Mhz
0x14395810624e, // 79 Mhz
0x147ae147ae14, // 80 Mhz
0x14bc6a7ef9db, // 81 Mhz
0x14fdf3b645a2, // 82 Mhz
0x153f7ced9168, // 83 Mhz
0x15810624dd2f, // 84 Mhz
0x15c28f5c28f6, // 85 Mhz
0x1604189374bc, // 86 Mhz
0x1645a1cac083, // 87 Mhz
0x16872b020c4a, // 88 Mhz
0x16c8b4395810, // 89 Mhz
0x170a3d70a3d7, // 90 Mhz
0x174bc6a7ef9e, // 91 Mhz
0x178d4fdf3b64, // 92 Mhz
0x17ced916872b, // 93 Mhz
0x1810624dd2f2, // 94 Mhz
0x1851eb851eb8, // 95 Mhz
0x189374bc6a7f, // 96 Mhz
0x18d4fdf3b646, // 97 Mhz
0x1916872b020c, // 98 Mhz
0x195810624dd3, // 99 Mhz
0x19999999999a, // 100 Mhz

0x19db22d0e560, // 101 Mhz
0x1a1cac083127, // 102 Mhz
0x1a5e353f7cee, // 103 Mhz
0x1a9fbe76c8b4, // 104 Mhz
0x1ae147ae147b, // 105 Mhz
0x1b22d0e56042, // 106 Mhz
0x1b645a1cac08, // 107 Mhz
0x1ba5e353f7cf, // 108 Mhz
0x1be76c8b4396, // 109 Mhz
0x1c28f5c28f5c, // 110 Mhz
0x1c6a7ef9db23, // 111 Mhz
0x1cac083126e9, // 112 Mhz
0x1ced916872b0, // 113 Mhz
0x1d2f1a9fbe77, // 114 Mhz
0x1d70a3d70a3d, // 115 Mhz
0x1db22d0e5604, // 116 Mhz
0x1df3b645a1cb, // 117 Mhz
0x1e353f7ced91, // 118 Mhz
0x1e76c8b43958, // 119 Mhz
0x1eb851eb851f, // 120 Mhz
0x1ef9db22d0e5, // 121 Mhz
0x1f3b645a1cac, // 122 Mhz
0x1f7ced916873, // 123 Mhz
0x1fbe76c8b439, // 124 Mhz
0x200000000000, // 125 Mhz
0x204189374bc7, // 126 Mhz
0x2083126e978d, // 127 Mhz
0x20c49ba5e354, // 128 Mhz
0x210624dd2f1b, // 129 Mhz
0x2147ae147ae1, // 130 Mhz
0x2189374bc6a8, // 131 Mhz
0x21cac083126f, // 132 Mhz
0x220c49ba5e35, // 133 Mhz
0x224dd2f1a9fc, // 134 Mhz
0x228f5c28f5c3, // 135 Mhz
0x22d0e5604189, // 136 Mhz
0x23126e978d50, // 137 Mhz
0x2353f7ced917, // 138 Mhz
0x2395810624dd, // 139 Mhz
0x23d70a3d70a4, // 140 Mhz
0x24189374bc6a, // 141 Mhz
0x245a1cac0831, // 142 Mhz
0x249ba5e353f8, // 143 Mhz
0x24dd2f1a9fbe, // 144 Mhz
0x251eb851eb85, // 145 Mhz
0x25604189374c, // 146 Mhz
0x25a1cac08312, // 147 Mhz
0x25e353f7ced9, // 148 Mhz
0x2624dd2f1aa0, // 149 Mhz
0x266666666666, // 150 Mhz
0x26a7ef9db22d, // 151 Mhz
0x26e978d4fdf4, // 152 Mhz
0x272b020c49ba, // 153 Mhz
0x276c8b439581, // 154 Mhz
0x27ae147ae148, // 155 Mhz
0x27ef9db22d0e, // 156 Mhz
0x283126e978d5, // 157 Mhz
0x2872b020c49c, // 158 Mhz
0x28b439581062, // 159 Mhz
0x28f5c28f5c29, // 160 Mhz
0x29374bc6a7f0, // 161 Mhz
0x2978d4fdf3b6, // 162 Mhz
0x29ba5e353f7d, // 163 Mhz
0x29fbe76c8b44, // 164 Mhz
0x2a3d70a3d70a, // 165 Mhz
0x2a7ef9db22d1, // 166 Mhz
0x2ac083126e98, // 167 Mhz
0x2b020c49ba5e, // 168 Mhz
0x2b4395810625, // 169 Mhz
0x2b851eb851ec, // 170 Mhz
0x2bc6a7ef9db2, // 171 Mhz
0x2c083126e979, // 172 Mhz
0x2c49ba5e353f, // 173 Mhz
0x2c8b43958106, // 174 Mhz
0x2ccccccccccd, // 175 Mhz
0x2d0e56041893, // 176 Mhz
0x2d4fdf3b645a, // 177 Mhz
0x2d916872b021, // 178 Mhz
0x2dd2f1a9fbe7, // 179 Mhz
0x2e147ae147ae, // 180 Mhz
0x2e5604189375, // 181 Mhz
0x2e978d4fdf3b, // 182 Mhz
0x2ed916872b02, // 183 Mhz
0x2f1a9fbe76c9, // 184 Mhz
0x2f5c28f5c28f, // 185 Mhz
0x2f9db22d0e56, // 186 Mhz
0x2fdf3b645a1d, // 187 Mhz
0x3020c49ba5e3, // 188 Mhz
0x30624dd2f1aa, // 189 Mhz
0x30a3d70a3d71, // 190 Mhz
0x30e560418937, // 191 Mhz
0x3126e978d4fe, // 192 Mhz
0x316872b020c5, // 193 Mhz
0x31a9fbe76c8b, // 194 Mhz
0x31eb851eb852, // 195 Mhz
0x322d0e560419, // 196 Mhz
0x326e978d4fdf, // 197 Mhz
0x32b020c49ba6, // 198 Mhz
0x32f1a9fbe76d, // 199 Mhz
0x333333333333, // 200 Mhz

0x3374bc6a7efa, // 201 Mhz
0x33b645a1cac1, // 202 Mhz
0x33f7ced91687, // 203 Mhz
0x34395810624e, // 204 Mhz
0x347ae147ae14, // 205 Mhz
0x34bc6a7ef9db, // 206 Mhz
0x34fdf3b645a2, // 207 Mhz
0x353f7ced9168, // 208 Mhz
0x35810624dd2f, // 209 Mhz
0x35c28f5c28f6, // 210 Mhz
0x3604189374bc, // 211 Mhz
0x3645a1cac083, // 212 Mhz
0x36872b020c4a, // 213 Mhz
0x36c8b4395810, // 214 Mhz
0x370a3d70a3d7, // 215 Mhz
0x374bc6a7ef9e, // 216 Mhz
0x378d4fdf3b64, // 217 Mhz
0x37ced916872b, // 218 Mhz
0x3810624dd2f2, // 219 Mhz
0x3851eb851eb8, // 220 Mhz
0x389374bc6a7f, // 221 Mhz
0x38d4fdf3b646, // 222 Mhz
0x3916872b020c, // 223 Mhz
0x395810624dd3, // 224 Mhz
0x39999999999a, // 225 Mhz
0x39db22d0e560, // 226 Mhz
0x3a1cac083127, // 227 Mhz
0x3a5e353f7cee, // 228 Mhz
0x3a9fbe76c8b4, // 229 Mhz
0x3ae147ae147b, // 230 Mhz
0x3b22d0e56042, // 231 Mhz
0x3b645a1cac08, // 232 Mhz
0x3ba5e353f7cf, // 233 Mhz
0x3be76c8b4396, // 234 Mhz
0x3c28f5c28f5c, // 235 Mhz
0x3c6a7ef9db23, // 236 Mhz
0x3cac083126e9, // 237 Mhz
0x3ced916872b0, // 238 Mhz
0x3d2f1a9fbe77, // 239 Mhz
0x3d70a3d70a3d, // 240 Mhz
0x3db22d0e5604, // 241 Mhz
0x3df3b645a1cb, // 242 Mhz
0x3e353f7ced91, // 243 Mhz
0x3e76c8b43958, // 244 Mhz
0x3eb851eb851f, // 245 Mhz
0x3ef9db22d0e5, // 246 Mhz
0x3f3b645a1cac, // 247 Mhz
0x3f7ced916873, // 248 Mhz
0x3fbe76c8b439, // 249 Mhz

0x40000000000A, // 250 Mhz 
0x404189374bc7, // 251 Mhz
0x4083126e978d, // 252 Mhz
0x40c49ba5e354, // 253 Mhz
0x410624dd2f1b, // 254 Mhz
0x4147ae147ae1, // 255 Mhz
0x4189374bc6a8, // 256 Mhz
0x41cac083126f, // 257 Mhz
0x420c49ba5e35, // 258 Mhz
0x424dd2f1a9fc, // 259 Mhz
0x428f5c28f5c3, // 260 Mhz
0x42d0e5604189, // 261 Mhz
0x43126e978d50, // 262 Mhz
0x4353f7ced917, // 263 Mhz
0x4395810624dd, // 264 Mhz
0x43d70a3d70a4, // 265 Mhz
0x44189374bc6b, // 266 Mhz
0x445a1cac0831, // 267 Mhz
0x449ba5e353f8, // 268 Mhz
0x44dd2f1a9fbe, // 269 Mhz
0x451eb851eb85, // 270 Mhz
0x45604189374c, // 271 Mhz
0x45a1cac08312, // 272 Mhz
0x45e353f7ced9, // 273 Mhz
0x4624dd2f1aa0, // 274 Mhz
0x466666666666, // 275 Mhz
0x46a7ef9db22d, // 276 Mhz
0x46e978d4fdf4, // 277 Mhz
0x472b020c49ba, // 278 Mhz
0x476c8b439581, // 279 Mhz
0x47ae147ae148, // 280 Mhz
0x47ef9db22d0e, // 281 Mhz
0x483126e978d5, // 282 Mhz
0x4872b020c49c, // 283 Mhz
0x48b439581062, // 284 Mhz
0x48f5c28f5c29, // 285 Mhz
0x49374bc6a7f0, // 286 Mhz
0x4978d4fdf3b6, // 287 Mhz
0x49ba5e353f7d, // 288 Mhz
0x49fbe76c8b44, // 289 Mhz
0x4a3d70a3d70a, // 290 Mhz
0x4a7ef9db22d1, // 291 Mhz
0x4ac083126e98, // 292 Mhz
0x4b020c49ba5e, // 293 Mhz
0x4b4395810625, // 294 Mhz
0x4b851eb851ec, // 295 Mhz
0x4bc6a7ef9db2, // 296 Mhz
0x4c083126e979, // 297 Mhz
0x4c49ba5e353f, // 298 Mhz
0x4c8b43958106, // 299 Mhz
0x4ccccccccccd, // 300 Mhz

0x4d0e56041893, // 301 Mhz
0x4d4fdf3b645a, // 302 Mhz
0x4d916872b021, // 303 Mhz
0x4dd2f1a9fbe7, // 304 Mhz
0x4e147ae147ae, // 305 Mhz
0x4e5604189375, // 306 Mhz
0x4e978d4fdf3b, // 307 Mhz
0x4ed916872b02, // 308 Mhz
0x4f1a9fbe76c9, // 309 Mhz
0x4f5c28f5c28f, // 310 Mhz
0x4f9db22d0e56, // 311 Mhz
0x4fdf3b645a1d, // 312 Mhz
0x5020c49ba5e3, // 313 Mhz
0x50624dd2f1aa, // 314 Mhz
0x50a3d70a3d71, // 315 Mhz
0x50e560418937, // 316 Mhz
0x5126e978d4fe, // 317 Mhz
0x516872b020c5, // 318 Mhz
0x51a9fbe76c8b, // 319 Mhz
0x51eb851eb852, // 320 Mhz
0x522d0e560419, // 321 Mhz
0x526e978d4fdf, // 322 Mhz
0x52b020c49ba6, // 323 Mhz
0x52f1a9fbe76d, // 324 Mhz
0x533333333333, // 325 Mhz
0x5374bc6a7efa, // 326 Mhz
0x53b645a1cac1, // 327 Mhz
0x53f7ced91687, // 328 Mhz
0x54395810624e, // 329 Mhz
0x547ae147ae14, // 330 Mhz
0x54bc6a7ef9db, // 331 Mhz
0x54fdf3b645a2, // 332 Mhz
0x553f7ced9168, // 333 Mhz
0x55810624dd2f, // 334 Mhz
0x55c28f5c28f6, // 335 Mhz
0x5604189374bc, // 336 Mhz
0x5645a1cac083, // 337 Mhz
0x56872b020c4a, // 338 Mhz
0x56c8b4395810, // 339 Mhz
0x570a3d70a3d7, // 340 Mhz
0x574bc6a7ef9e, // 341 Mhz
0x578d4fdf3b64, // 342 Mhz
0x57ced916872b, // 343 Mhz
0x5810624dd2f2, // 344 Mhz
0x5851eb851eb8, // 345 Mhz
0x589374bc6a7f, // 346 Mhz
0x58d4fdf3b646, // 347 Mhz
0x5916872b020c, // 348 Mhz
0x595810624dd3, // 349 Mhz
0x59999999999a, // 350 Mhz
0x59db22d0e560, // 351 Mhz
0x5a1cac083127, // 352 Mhz
0x5a5e353f7cee, // 353 Mhz
0x5a9fbe76c8b4, // 354 Mhz
0x5ae147ae147b, // 355 Mhz
0x5b22d0e56042, // 356 Mhz
0x5b645a1cac08, // 357 Mhz
0x5ba5e353f7cf, // 358 Mhz
0x5be76c8b4396, // 359 Mhz
0x5c28f5c28f5c, // 360 Mhz
0x5c6a7ef9db23, // 361 Mhz
0x5cac083126e9, // 362 Mhz
0x5ced916872b0, // 363 Mhz
0x5d2f1a9fbe77, // 364 Mhz
0x5d70a3d70a3d, // 365 Mhz
0x5db22d0e5604, // 366 Mhz
0x5df3b645a1cb, // 367 Mhz
0x5e353f7ced91, // 368 Mhz
0x5e76c8b43958, // 369 Mhz
0x5eb851eb851f, // 370 Mhz
0x5ef9db22d0e5, // 371 Mhz
0x5f3b645a1cac, // 372 Mhz
0x5f7ced916873, // 373 Mhz
0x5fbe76c8b439, // 374 Mhz
0x600000000000, // 375 Mhz
0x604189374bc7, // 376 Mhz
0x6083126e978d, // 377 Mhz
0x60c49ba5e354, // 378 Mhz
0x610624dd2f1b, // 379 Mhz
0x6147ae147ae1, // 380 Mhz
0x6189374bc6a8, // 381 Mhz
0x61cac083126f, // 382 Mhz
0x620c49ba5e35, // 383 Mhz
0x624dd2f1a9fc, // 384 Mhz
0x628f5c28f5c3, // 385 Mhz
0x62d0e5604189, // 386 Mhz
0x63126e978d50, // 387 Mhz
0x6353f7ced917, // 388 Mhz
0x6395810624dd, // 389 Mhz
0x63d70a3d70a4, // 390 Mhz
0x64189374bc6b, // 391 Mhz
0x645a1cac0831, // 392 Mhz
0x649ba5e353f8, // 393 Mhz
0x64dd2f1a9fbe, // 394 Mhz
0x651eb851eb85, // 395 Mhz
0x65604189374c, // 396 Mhz
0x65a1cac08312, // 397 Mhz
0x65e353f7ced9, // 398 Mhz
0x6624dd2f1aa0, // 399 Mhz
0x666666666666  // 400 Mhz
};